新闻  |   论坛  |   博客  |   在线研讨会
格雷码计数器的Verilog描述(原创)
Jason_Zhang | 2009-07-09 22:36:26    阅读:7439   发布文章

应论坛上一个朋友的要求,写了一个8位的格雷码计数器。把资料整理了一下。

 

格雷码计数器的Verilog描述

一、格雷码介绍(转载)

       在数字系统中只能识别01,各种数据要转换为二进制代码才能进行处理,格雷码是一种无权码,采用绝对编码方式,典型格雷码是一种具有反射特性和循环特性的单步自补码,它的循环、单步特性消除了随机取数时出现重大误差的可能,它的反射、自补特性使得求反非常方便。格雷码属于可靠性编码,是一种错误最小化的编码方式,因为,自然二进制码可以直接由数/模转换器转换成模拟信号,但某些情况,例如从十进制的3转换成4时二进制码的每一位都要变,使数字电路产生很大的尖峰电流脉冲。而格雷码则没有这一缺点,它是一种数字排序系统,其中的所有相邻整数在它们的数字表示中只有一个数字不同。它在任意两个相邻的数之间转换时,只有一个数位发生变化。它大大地减少了由一个状态到下一个状态时逻辑的混淆。另外由于最大数与最小数之间也仅一个数不同,故通常又叫格雷反射码或循环码。下表为几种自然二进制码与格雷码的对照表:

┌────┬──────┬───┬────┬──────┬────┐

│十进制数│自然二进制数│格雷码│十进制数│自然二进制数│ 格雷码  

├────┼──────┼───┼────┼──────┼────┤

0              0000                0000    8              1000                1100        │ 

├────┼──────┼───┼────┼──────┼────┤

1               0001                   0001    9              1001                1101        

├────┼──────┼───┼────┼──────┼────┤

2              0010                0011    10            1010                1111       

├────┼──────┼───┼────┼──────┼────┤

3              0011                0010    11            1011                1110       

├────┼──────┼───┼────┼──────┼────┤

4              0100                0110    12            1100                1010        

├────┼──────┼───┼────┼──────┼────┤

5              0101                0111    13            1101                1011       

├────┼──────┼───┼────┼──────┼────┤

6              0110                0101    14            1110                1001        

├────┼──────┼───┼────┼──────┼────┤

7              0111                0100    15            1111                1000       

└────┴──────┴───┴────┴──────┴────┘

 

一般的,普通二进制码与格雷码可以按以下方法互相转换:

二进制码-->格雷码(编码):从最右边一位起,依次将每一位与左边一位异或(XOR),作为对应格雷码该位的值,最左边一位不变(相当于左边是0)

格雷码--〉二进制码(解码):从左边第二位起,将每位与左边一位解码后的值异或,作为该位解码后的值(最左边一位依然不变).

数学(计算机)描述:

原码:p[0~n];格雷码:c[0~n](nN);编码:c=G(p);解码:p=F(c);书写时从左向右标号依次减小.

编码:c=p XOR p[i+1](iN,0in-1)c[n]=p[n]

解码:p[n]=c[n]p=c XOR p[i+1](iN,0in-1).

 

Gray Code是由贝尔实验室的Frank Gray20世纪40年代提出的(是1880年由法国工程师Jean-Maurice-Emlle Baudot发明的),用来在使用PCMPusle Code Modulation)方法传送讯号时避免出错,并于1953317日取得美国专利。由定义可知,Gray Code的编码方式不是唯一的,这里讨论的是最常用的一种。

 

二、格雷码计数器算法(原创)

       格雷码计数器的关键在于根据当前码计算出下一个码,也就是计算出当前哪个位需要取反。

此处给出Matlab的一种算法:

function NxG = fNextGray( CurG, N )

B(1) = CurG(1);

for k = 2 : N

   B(k) = xor( CurG(k), B(k-1));

end

 C = CurG;

for k = N : -1 : 1

   if B(k) == 0 | k == 1

      C(k) = not( C(k));

      break

   end

end

NxG = C;

 

三、8bits格雷码计数器的Verilog描述(原创)

       module GrayCnt( Clk, nRst, CntOut );

 

input Clk, nRst;

output [7:0] CntOut;

 

reg [7:0]   CntOut;

reg [7:0]   NextCnt;

 

always @(posedge Clk)

begin

   if (~nRst)

      CntOut <= 8'b0000_0000;

   else

      CntOut <= NextCnt;   

end

 

reg [7:0]   tmpCnt;

integer k;

 

always @( CntOut )

begin

    tmpCnt[7] = CntOut[7];

   

    for( k=6; k>=0; k=k-1 )

       tmpCnt[k] = CntOut[k] ^ tmpCnt[k+1];

 

   

    if( tmpCnt[0]==1'b0 )

    begin

        NextCnt[0] = ~CntOut[0];

        NextCnt[7:1] = CntOut[7:1];

    end

    else if( tmpCnt[1]==1'b0 )

    begin

        NextCnt[0] = CntOut[0];

        NextCnt[1] = ~CntOut[1];

        NextCnt[7:2] = CntOut[7:2];

    end

    else if( tmpCnt[2]==1'b0 )

    begin

        NextCnt[1:0] = CntOut[1:0];

        NextCnt[2] = ~CntOut[2];

        NextCnt[7:3] = CntOut[7:3];

    end

    else if( tmpCnt[3]==1'b0 )

    begin

        NextCnt[2:0] = CntOut[2:0];

        NextCnt[3] = ~CntOut[3];

        NextCnt[7:4] = CntOut[7:4];

    end

    else if( tmpCnt[4]==1'b0 )

    begin

        NextCnt[3:0] = CntOut[3:0];

        NextCnt[4] = ~CntOut[4];

        NextCnt[7:5] = CntOut[7:5];

    end

    else if( tmpCnt[5]==1'b0 )

    begin

        NextCnt[4:0] = CntOut[4:0];

        NextCnt[5] = ~CntOut[5];

        NextCnt[7:6] = CntOut[7:6];

    end

    else if( tmpCnt[6]==1'b0 )

    begin

        NextCnt[5:0] = CntOut[5:0];

        NextCnt[6] = ~CntOut[6];

        NextCnt[7] = CntOut[7];

    end

    else

    begin

        NextCnt[6:0] = CntOut[6:0];

        NextCnt[7] = ~CntOut[7];

    end

end

 

endmodule

 

综合结果为(Synplify Pro 9.6.2):

 


仿真结果为(Modelsim 6.2b):

 

 


附件中包括了完整的Matlba仿真程序和8bits格雷码计数器的ISE工程, 计数器输出保存在GrayOut.txt中,然后读入Matlab校验。有问题欢迎与我交流,zldpublic@gmail.com

同时欢迎光临我的博客:http://jasonzhang.spaces.eepw.com.cn/

GrayCnt.rar

*博客内容为网友个人发布,仅代表博主个人观点,如有侵权请联系工作人员删除。

参与讨论
登录后参与讨论
Hi, my friends, welcome to my blog. My Email is : zldpublic@gmail.com
推荐文章
最近访客